Follow
Hsien-Hsin Sean Lee
Hsien-Hsin Sean Lee
Meta
Verified email at fb.com - Homepage
Title
Cited by
Cited by
Year
New architecture for high‐efficiency polymer photovoltaic cells using solution‐based titanium oxide as an optical spacer
JY Kim, SH Kim, HH Lee, K Lee, W Ma, X Gong, AJ Heeger
Advanced materials 18 (5), 572-576, 2006
21112006
Test challenges for 3D integrated circuits
HHS Lee, K Chakrabarty
Design & Test of Computers, IEEE 26 (5), 26-35, 2009
4302009
Parasitic capacitance extraction for FinFETs
CM Ho, KY Su, C Hsiao-Shu, YK Cheng, ZM Wu, HS Lee
US Patent 8,826,213, 2014
3682014
An optimized 3D-stacked memory architecture by exploiting excessive, high-density TSV bandwidth
DH Woo, NH Seong, DL Lewis, HHS Lee
High Performance Computer Architecture (HPCA), 2010 IEEE 16th International …, 2010
3442010
Extending Amdahl's law for energy-efficient computing in the many-core era
DH Woo, HHS Lee
Computer 41 (12), 24-31, 2008
3262008
Security refresh: Prevent malicious wear-out and increase durability for phase-change memory with dynamically randomized address mapping
NH Seong, DH Woo, HHS Lee
ACM SIGARCH computer architecture news 38 (3), 383-394, 2010
3122010
Smart refresh: An enhanced memory controller design for reducing energy in conventional and 3D die-stacked DRAMs
M Ghosh, HHS Lee
40th Annual IEEE/ACM international symposium on microarchitecture (MICRO …, 2007
2902007
The architectural implications of facebook's dnn-based personalized recommendation
U Gupta, CJ Wu, X Wang, M Naumov, B Reagen, D Brooks, B Cottel, ...
2020 IEEE International Symposium on High Performance Computer Architecture …, 2020
2802020
Sustainable ai: Environmental implications, challenges and opportunities
CJ Wu, R Raghavendra, U Gupta, B Acun, N Ardalani, K Maeng, G Chang, ...
Proceedings of Machine Learning and Systems 4, 795-813, 2022
2672022
Adaptive transaction scheduling for transactional memory systems
RM Yoo, HHS Lee
Proceedings of the twentieth annual symposium on Parallelism in algorithms …, 2008
2672008
SAFER: Stuck-at-fault error recovery for memories
NH Seong, DH Woo, V Srinivasan, JA Rivers, HHS Lee
Microarchitecture (MICRO), 2010 43rd Annual IEEE/ACM International Symposium …, 2010
2312010
Chasing carbon: The elusive environmental footprint of computing
U Gupta, YG Kim, S Lee, J Tse, HHS Lee, GY Wei, D Brooks, CJ Wu
2021 IEEE International Symposium on High-Performance Computer Architecture …, 2021
2242021
3D-MAPS: 3D massively parallel processor with stacked memory
DH Kim, K Athikulwongse, M Healy, M Hossain, M Jung, I Khorosh, ...
IEEE International Solid-State Circuits Conference, 188-190, 2012
2222012
Recnmp: Accelerating personalized recommendation with near-memory processing
L Ke, U Gupta, BY Cho, D Brooks, V Chandra, U Diril, A Firoozshahian, ...
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture …, 2020
1912020
Deeprecsys: A system for optimizing end-to-end at-scale neural recommendation inference
U Gupta, S Hsia, V Saraph, X Wang, B Reagen, GY Wei, HHS Lee, ...
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture …, 2020
1702020
Eager writeback-a technique for improving bandwidth utilization
HHS Lee, GS Tyson, MK Farrens
Proceedings of the 33rd annual ACM/IEEE international symposium on …, 2000
1652000
High Efficiency Counter Mode Security Architecture via Prediction and Precomputation
WSHHS Lee, M Ghosh, C Lu, A Boldyreva
163*
A scanisland based design enabling prebond testability in die-stacked microprocessors
DL Lewis, HHS Lee
Test Conference, 2007. ITC 2007. IEEE International, 1-8, 2007
1482007
Cheetah: Optimizing and accelerating homomorphic encryption for private inference
B Reagen, WS Choi, Y Ko, VT Lee, HHS Lee, GY Wei, D Brooks
2021 IEEE International Symposium on High-Performance Computer Architecture …, 2021
1332021
Multiobjective microarchitectural floorplanning for 2-d and 3-d ICs
M Healy, M Vittes, M Ekpanyapong, CS Ballapuram, SK Lim, HHS Lee, ...
Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions …, 2007
1332007
The system can't perform the operation now. Try again later.
Articles 1–20